2013-01-01から1ヶ月間の記事一覧

LingrPocket使ってみた

[android] LingrのAndroidクライアントを作りました っということで、私も Vim部屋閲覧のために入れてみました。 私は、Nexus7 - Android 4.2.1でしたが問題なく動いてます。 便利〜♪ 自動更新期待しています!!!

SystemC Utillity functions : sc_max, sc_min

SystemC-2.2からあります。 2つの値を比較して、それぞれの値を返す関数になります。 ※ただし、sc_logic/sc_bitなどのデータ型には使えません。 定義 sc_max template <class T> const T sc_max( const T& a , const T& b ) { return (( a >= b ) ? a : b ); } sc_min</class>…

SystemC Utillity functions : sc_abs

SystemC-2.2からあります。 絶対値を返す関数になります。 ※ただし、sc_logic/sc_bitなどのデータ型には使えません。 template <class T> const T sc_abs( const T& ); サンプルコード #include <systemc.h> int sc_main(int argc, char* argv[]) { sc_int<10> a, b, c; sc_int<1</systemc.h></class>…

はじめての unite source(unite-tig)

Vim

この記事は、 Vim Advent Calendar 2012 60日目の記事です。 60日って凄いですね(笑) 流石!Vim!\(^o^)/ (いつの間にか2月まで突入しましたね。) 59日目は @HardwareLab さんの Verilog対応増減Vimスクリプトを書いてみた でした。 今回、はじめて unit…

SystemC Utillity functions : Version and Copyright

SystemC-2.2からあったようですが、説明も少ないため SystemC-2.3前提で話をします。 用意されている function 以下の 3つの関数が用意されています。 sc_copyright() sc_version() sc_release() どのような動きになるかはこちらを見てください。 サンプルコ…

【Vim】Patch 7.3.764のエラー対処について

Vim

Patch 7.3.764を適用すると、以下のエラーメッセージが出てきた。 make[2]: ディレクトリ `/usr/local/src/vim/vim73/src/po' に入ります make[2]: *** `all' に必要なターゲット `nl.mo' を make するルールがありません. 中止. make[2]: ディレクトリ `/us…

はてなブログ アプリで書いてみた

はてなブログ for iPhone ってアプリがあることを知ったので、こっちで書いてみることにした。 ※このブログ自体をアプリ書いてます。ただし、リンクはPCでですが。 アプリ自体に Markdown記法モードがあることに驚きを隠せない(笑) 文章入力もiPod touch(…

SystemC 2.3 : sc_vector

SystemC-2.3(IEEE1666-2011)から追加された「sc_vector」を書いてみました。 sc_vector は、モジュールや信号について記述することができます。 ポート信号に対して サンプルコード #include <systemc.h> SC_MODULE( hoge ){ sc_vector<sc_in<bool> > in; void m_process(); SC_CTOR</sc_in<bool></systemc.h>…

SystemVerilog : semaphore

SystemVerilog:mailbox で mailbox 書いたので、次は「semaphore」書いてみました。 サンプルコード module testbench (); semaphore sm_hoge; task task_put(); sm_hoge.put(); endtask task task_get; forever begin if (sm_hoge.try_get()) begin $displ…

SystemVerilog:unique, priority

SystemVerilog 2012:unique constraint でも紹介しましたが、SystemVerilogでは if/caseに対して以下の制約が追加されています。 unique unique0 priority これらの予約語を使いことにおいて、シミュレータに検知されることができます。 共通条件 すべての条…

iPod touchのホームボタンをなるべく押さないようにした

iOS

第4世代のiPod touchのホームボタンの効きが悪くなって以来、 ホームボタンを押すことに抵抗が出てしまった。。。 ということで、「通知センター」と「AssistiveTouch」機能を 使ってなるべくホームボタンを押さないように出来ないか検討してみました。 通知…

SystemVerilog 2012 : soft constraint

Introducing soft constraints in SystemVerilog 2012 より、SystemVerilog 2012 にて soft constraint が追加されるらしいです。 これって元々こちらからのもの? Soft Constraints for SystemVerilog Package - @Venginnerの戯言 soft constraintってなに…

SystemVerilog 2012 : unique constraint

Bringing in uniqueness constraint to SystemVerilog ? welcome P1800-2012 より、SystemVerilog 2012では constraintにも uniqueが設定出来るようになるみたいです。 これは便利かも! unique とは? case文を記述例としてあげています。 unique/priority…

SystemVerilog:コマンドラインからの指定($plusargs)

Plus args in System Verilog is Plus point!! UVMでのテスト指定とかでみたことはあったけど、自分で指定出来るですね。 SystemVerilogでは、2種類の定義が可能です。 $test$plusargs ( string ) $value$plusargs ( user_string, variable ) $test$plusargs…

Vim:NeoBundleLazy使った場合の注意点

neobundle.vim の遅延読み込みを試してみた。 にて、設定してみた場合に???になったので記載してみました。 ※この話は neobundle.vim - 64f473307b での話です。 help NeoBundleSourceしないと、helpが見えない。 こちらは、以下のコマンドが追加されたよ…

Haskell環境を整えてみた(Vim)

Haskelll用のVim環境を準備してみました。 参考にしたWebサイト Vim-users.jp - Hack #211: Haskellでimportするモジュールや関数をその場で調べつつ入力する Vim-users.jp - Haskellで使いたい関数を使ってからそのモジュールをimportする VimでHaskellを書…

Haskell環境を整えてみたplatform)

Haskell勉強してみようと思う。 動機は特にない。なんとなく(笑) 先ずは環境整備から 参考にしたWeb haskellの環境を構築(ubuntu10.10) - podhmoの日記 Haskell ghc-7.4.1をインストール Ubuntu 11.04 Haskell ghc-7.4.1をUbuntu12.04.1LTSに Haskell基礎…

2013年にやりたいこと

2013年にやりたいこと 3点に絞って考えてみた。 プログラミング どれかしよう。 Vim Script Ruby Haskell Python BluespecSystemVerilog 設計&検証手法へのトライアル 高位合成 UVM フォーマル 環境を改善する

Vim:helpメモ

Vim

Vim は helpが充実しています。 なので、何かあったら :help を使いましょう! っという前置きは置いといて、いつも忘れてしますので自分へのメモ書き。 ヘルプウィンドウを全面に表示する Defaultだと、画面半分に表示されると思います。 しかし、全面表示…

neobundle.vim の遅延読み込みを試してみた。

Vim

こちらのブログより、neobundle.vim がver.3になったということで試してみた。 [vim]neobundle.vim でプラグインの遅延読み込み - C++でゲームプログラミング 追加した部分の .vimrcがこちらになります。(丸パクリです。はい。) NeoBundleLazy 'Shougo/vim…

SystemVerilog 2012の多重継承(implements)

SystemVerilog 2012の拡張部分の「多重継承」について予習を。 予習するきっかけはこちらのブログになります。 Did you miss multiple-inheritance in SystemVerilog?(VerificationOnWeb(VoW)) 多重継承ってなに? 多重継承とは、1つのクラスが複数のクラス…

SystemVerilog:mailbox

SystemVerilogの「mailbox」を書いてみました。 FIFOの拡張版(やり取り制御込み)といったところでしょうか。 ちなみに、キッカケはこちらのブログです。 Is my SystemVerilog mailbox half-full or half-empty? An engineer’s hunger now served! サンプルコ…

SystemVerilog:byte型の代入メモ

こちらのブログにて、 SystemVerilog String Literal "Gotcha" ぱっと見ると、意味がわからなったけど少し眺めてみると内容が理解できた。 つまり、byte型に対して、文字列(本来ありえないけど)を代入した場合に、どの部分が代入されるかということ。 番号 9…

SystemVerilog:fork~join/join_any/join_none

今回の勉強題材はこちら。 SystemVerilog Fork Disable "Gotchas" automatic これはVerilog-HDL 2001から automatic が追加されています。 参考:automaticを意識する。 fork~join_any サンプルコードは書いてあるので、省略。 fork~joinの場合の出力結果…

WiMAXの機器追加オプション設定方法

WiMAX更新月なので、WiMAX契約した からの続きです。 WiMAXルーターを活用するために WiMAX機器追加オプションに登録しようと思いましたが、いざ登録しようとするとイマイチ方法がわからなかったのでメモ用に記載します。 私の環境はこちらになります。 プロ…