2013-01-08から1日間の記事一覧

SystemVerilog 2012の多重継承(implements)

SystemVerilog 2012の拡張部分の「多重継承」について予習を。 予習するきっかけはこちらのブログになります。 Did you miss multiple-inheritance in SystemVerilog?(VerificationOnWeb(VoW)) 多重継承ってなに? 多重継承とは、1つのクラスが複数のクラス…