2013-07-01から1ヶ月間の記事一覧

ModelSim-Altera Starter Edition 13.0(10.1d)インストール

アルテラ、Quartus II 開発ソフトウェア v13.0 をリリース ということで、Ubuntu 12.04 LTS(32bit)に ModelSim-ASE 13.0(10.1d)をインストールしました。 ※現在だと 13.0sp1(10.1d)が最新です。 ダウンロード 今回よりダウンロードが変わったみたいですね。 …

TweetList(3.5.4) で動作が重くなったので、再インストールしたら解消された!!!

モバイル機器で Twitter見る時には、 TweetList(無料版) を使っているのですが、 ついこないだ「3.5.4」というバージョンがリリースされました。 アップデート後に起きた現象 アップデート後の動作として、 TimeLineの表示が異常に遅い(3分程度かかる) ツイ…

VCDファイルを階層ごとに修正する

SystemCで信号波形をダンプする際には、VCD形式で出力することが多いと思います。 SystemCのダンプ形式だと、「階層.信号」といった感じでフラットに出力されてしまうのでどうしても波形ビューワーで見ると、見づらいかと思います。 そこで、こんなものがあ…

unite:bookmarkを使って Vimfilerをもっと快適に使う

Vim

この記事は Vim Advent Calendar 2012の218日目の記事です。 217日目は @deris0126 さんによる 「NeoBundleの相対パスからVim pluginのURLをブラウザで開く」でした。 さて、本日は自分が使って便利だなって思ったことを書いてます。 (Vimfilerを最近真剣に…

virtual interface paramter記述

引数にinterfaceで思い出したのですが、interfaceにparameter持たせた時に 仮引数の宣言の仕方がさっぱりわからなかった記憶が。 ってなことだったので、試してみました。 interface if_hoge#(parameter BW = 8)(); logic [BW-1:0] data; endinterface : if_…

固定小数点型において、動的に整数幅を変える

SystemC Forumにて、 How to change the word length of "sc_fix" dynamically? Any examples? 高位合成(RTLへの実装)とかを考えると、どうしてもデータ幅(bit幅)を意識します。 予め bit幅が決まりきっている場合だと問題ないかもしれませんが、 bit幅を見…

$countones で bitランダム

きっかけはこちらの Verification Academyにて "hot bit" randomization このスレッドにCVCの方が回答したものが、こちらになります。 Smart constraint modeling in SystemVerilog class c; rand bit[31:0] vec_1; constraint cst_max_2_hot_bits { $counto…

SystemC-2.3インストール(clang3.3)

clang 3.3で SystemC-2.3をインストールしようとした際のメモ。 $> ../configure CC=clang CXX=clang++ : : configure: error: "sorry...compiler not supported" ってな感じでエラーが出ます。 どうやら、INSTALLには記載があるのに、clangは使えないようで…