Verilator

Verilatorを使って簡単RTLシミュレーション

本記事は HDL Advent Calendar 2022 の 6日目の記事です。 ハードでロックな皆さまは自宅でもRTLシミュレーションしたいと思っているはずです。 前日の記事は Vivado Simulatorだったので、本日は Verilator記事になります。 Vivado Simulator(xsim)でUVMや…

Verilator v5試す(timingとbinaryオプション)

2022/10/29に Verilator v5.002がリリースされたようです。 Revision Historyによると ・Require C++20 for the new –timing features. Upgrading to a C++20 or newer compiler is strongly recommended. ・Support the Active and NBA scheduling regions …

Macで Xilinx/systemctlm-cosim-demo動かす(終わり)

Xilinx/systemctlm-cosim-demo を 個人PC(Mac)で動かそうとしてます。 環境 macOS Monterey 12.5 Clang 14.0.6 前提 以下がインストールされている状態です。 Homebrew Xcode Command Line Tools Verilator SystemC 動機 暇 (夏休み) 面白そう XilinxのQEM…

Macで Xilinx/systemctlm-cosim-demo動かす(その4)

Xilinx/systemctlm-cosim-demo を 個人PC(Mac)で動かそうとしてます。 環境 macOS Monterey 12.5 Clang 14.0.6 前提 以下がインストールされている状態です。 Homebrew Xcode Command Line Tools Verilator SystemC 動機 暇 (夏休み) 面白そう XilinxのQEM…

Macで Xilinx/systemctlm-cosim-demo動かす(その3)

Xilinx/systemctlm-cosim-demo を 個人PC(Mac)で動かそうとしてます。 環境 macOS Monterey 12.5 Clang 14.0.6 前提 以下がインストールされている状態です。 Homebrew Xcode Command Line Tools Verilator SystemC 動機 暇 (夏休み) 面白そう XilinxのQEM…

Macで Xilinx/systemctlm-cosim-demo動かす(その2)

Xilinx/systemctlm-cosim-demo を 個人PC(Mac)で動かそうとしてます。 環境 macOS Monterey 12.5 Clang 14.0.6 前提 以下がインストールされている状態です。 Homebrew Xcode Command Line Tools Verilator SystemC 動機 暇 (夏休み) 面白そう XilinxのQEM…

Macで Xilinx/systemctlm-cosim-demo動かす(その1)

Xilinx/systemctlm-cosim-demo を 個人PC(Mac)で動かそうとしてます。 環境 macOS Monterey 12.5 Clang 14.0.6 前提 以下がインストールされている状態です。 Homebrew Xcode Command Line Tools Verilator SystemC 動機 暇 (夏休み) 面白そう XilinxのQEM…

即席 APBアクセスのテスト作ってみた

せっかくなので、自分で一から勉強がてら作ってみました。 ※ただし即席なので使用する場合は自己責任で。 題材としては、APBアクセスモジュールのテスト。 コード一式はこちらにあげてます。 try_verilator/sysc/01_sc_apb/ 説明 Requester:SystemCで記述(t…

VerilatorインストールとSystemC実行(Mac)

SystemCインストールしたので、Verilatorと一緒に動かしてみる。 環境 macOS Monterey 12.5 前提 以下がインストールされている状態です。 Homebrew Xcode Command Line Tools インストール versionにこだわりなかったので、brewでインストールした。 $ brew…