2012-11-01から1ヶ月間の記事一覧

Interface 2013年1月号を読みました。

Interface 2013年1月号 を読みました。 今回の特集が「研究 人間センシング」ということで、興味があった買ってみました。 スポーツ/医療/ヘルスケアと既に適用されているんだなと再度実感してりして。。。 センサからのデータ処理もそうなんですが、やはり…

Thunderbird 17.0にPersonas Plusをインストールする。

※本操作は推奨される方法ではないと思うので、自己責任で行なってください。 Thunderbirdで Personaを使いたい場合は、 Personas Plusを 入れる必要があります。 しかし、互換性バージョン「3.0b4 - 3.1.*」になっているのでインストール出来ません。 なので…

Vim:新規ファイル時にファイルエンコーディングを指定する。

Vim

Markdownからブラウザ表示した際に文字化けしたので、 以下を.vimrcに追記。 他にやり方があるかは調べてない。。。 " 新規にMarkdownファイルを作成する際には、UTF-8で作成する。 autocmd BufNewFile *.md set fileencoding=UTF-8 autocmd BufNewFile *.mk…

SystemVerilog class の new

そういえば、書いてなかったので書いてみた。 サンプルコード class hoge; int int_tmp = 4; function new(int a); int_tmp = a; endfunction endclass module testbench; hoge cl_hoge = new(10); initial begin $display("--- cl_hoge.int_tmp = %3d",cl_h…

Thunderbird 17.0にしたらメール作成でクラッシュした時の話

無料のメールソフト Thunderbird を使っているのですが、 先日 最新版(17.0)がリリースされました。 なんの迷いもなくアップデートしたのですが、メール作成で必ずクラッシュ(強制終了)するようになってしまいました。 原因は、Thunderbirdアドオン「Quickte…

VimFilerのキーマップ変更時にハマったこと

Vim

:help vimfilerより、以下の設定をしようしてました。 Q: I want to close one vimfile window in double mode. A: <Plug>(vimfiler_hide) closes both vimfiler windows. You can use <Plug>(vimfiler_close) instead of it: > autocmd FileType vimfiler nnoremap q <buffer> <Plug>(</plug></buffer></plug></plug>…

task/functionのオーバーロードを検討してみた。(DPI-C編)

「task/functionのオーバーロードを検討してみた。」を見て DPI-Cで書いてみみた ただ、この場合だと C++のオーバーロードを使ったやり方になりますので、 シナリオは C++側に書くことになります。 C++側のコード #include <stdio.h> #ifdef __cplusplus extern "C" {</stdio.h>…

SystemVerilog class の this

SystemVerilog「this」 を使ってみましょう。というお話です。 サンプルコード class base; int a; virtual function void set_a(int in); a = in; endfunction endclass class hoge extends base ; int a; function void set_a(int in); this.a = in; $disp…

SystemVerilog パラメータ class

module と同じような感じで、classにもパラメータを渡すことができます。 C++で言うとテンプレートみたいな感じです。 サンプルコード class hoge #(int width = 1); logic [width-1:0] l_a; function void show(); $display("--- a = %3d", l_a); endfuncti…

SystemVerilog Class スコープ演算子

タイトルは適当につけていますが、規格上の説明は Class scope resolution operator :: のようになってます。 サンプルコード class hoge; static function void show(int a, int b); $display("- a = %3d, b = %3d", a, b); endfunction endclass module te…

SystemVerilog で多態性(polymorphism)のお勉強

SystemVerilogから class が追加されたので出来ます。 サンプルコード class base; virtual function void show(int a, int b); $display("--- base: a = %3d, b = %3d", a, b); endfunction endclass class hoge extends base; virtual function void show(…

SystemVerilog class の super

SystemVerilog classにて、super というものがあります。 サンプルコード class base; virtual function void show(int a, int b); $display("- a = %3d, b = %3d", a, b); endfunction endclass class hoge extends base; function void show(int a, int b=…

Markdown記法の環境構築

VimのMarkdown記法の環境を整えてみました。(Macの場合) Vim プラグイン neobundle.vim を入れていると以下のような感じです。 NeoBundle 'hallison/vim-markdown' NeoBundle 'thinca/vim-quickrun' NeoBundle 'tyru/open-browser.vim' quickrun_config設定…

はてブをMarkdown記法で書いてみる。

ブログをMarkdown記法で書こうと思うので色々テスト。 見出し(H2) 見出し (H3) 見出し(H4) 見出し(H5) 引用 改行には一つ必要なのね。 *リスト表示 * 追加 で記述 これでも 番号は自分で付けないといけないのか。 いち に リンクを確認 どうだ?Yahoo! J…