2012-11-20から1日間の記事一覧

SystemVerilog class の this

SystemVerilog「this」 を使ってみましょう。というお話です。 サンプルコード class base; int a; virtual function void set_a(int in); a = in; endfunction endclass class hoge extends base ; int a; function void set_a(int in); this.a = in; $disp…