2012-11-21から1日間の記事一覧

task/functionのオーバーロードを検討してみた。(DPI-C編)

「task/functionのオーバーロードを検討してみた。」を見て DPI-Cで書いてみみた ただ、この場合だと C++のオーバーロードを使ったやり方になりますので、 シナリオは C++側に書くことになります。 C++側のコード #include <stdio.h> #ifdef __cplusplus extern "C" {</stdio.h>…