SystemVerilog 2012の多重継承(implements)

SystemVerilog 2012の拡張部分の「多重継承」について予習を。

予習するきっかけはこちらのブログになります。
Did you miss multiple-inheritance in SystemVerilog?(VerificationOnWeb(VoW))

多重継承ってなに?

多重継承とは、1つのクラスが複数のクラスを同時に継承するということです。
こちらの方が参考になるかも。 C++編(言語解説)第33章 多重継承

SystemVerilogでの活用は?

Did you miss multiple-inheritance in SystemVerilog?(VerificationOnWeb(VoW))
にも記載がありますが、主にインターフェースを円滑にするためのよう。
更に、これらのブログ、論文が参考になるかも。

新たな予約語

こちらが追加されるみたい。

  • interface class
  • class implements


implementsextends の差分がよくわからないけど、
LRMやシミュレータが対応後に確認しよう。