ModelSim-Altera Starter Edition 13.0(10.1d)インストール

アルテラ、Quartus II 開発ソフトウェア v13.0 をリリース

ということで、Ubuntu 12.04 LTS(32bit)に ModelSim-ASE 13.0(10.1d)をインストールしました。
※現在だと 13.0sp1(10.1d)が最新です。

ダウンロード

今回よりダウンロードが変わったみたいですね。
私はダウンロード・マネージャーを使ってダウンロードしました。
私は Macですが大丈夫でした。
ファイル名:ModelSimSetup-13.0.0.156.run

インストール

インストール方法も変わりました。

$> ModelSimSetup-13.0.0.156.run

で、GUIが立ち上がりますのであとはポチポチと。

実行

$> make vlib work
vlog sample.sv
Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012
-- Compiling module testbench

今回から、リンクを張っていた作業が要らなくなってました!

$> ln -s linux linux_rh60

かなりダウンロード含めて便利になりましたね。