"コメントアウトして編集"を効率化する。

Vim

この記事は Vim Advent Calender 2012 の 315日目の記事です。 314日目は、@supermomonga さんの「Macを購入したら絶対に導入したい!私が3年間で厳選した超オススメアプリ10選!」でした。 私も Macを持ってますが、超オススメアプリはすべてインストールし…

ファイル別の key-map設定で失敗した話

Vim

本記事は、Vim Advent Calendar 2012の 309日目の記事になります。 308日目は @manga_osyo さんの「[vim]unite.vim の action について理解する」でした。 今回は、私が キー配置の設定で失敗した話です。 色んな方の .vimrc 見てみたのですが、同じような設…

autocmdの syntaxの改造にトライ (syntax/vim.vim)

Vim

Lingr vim-users.jpでも相談させてもらったのですが、 au BufNewFile,BufRead *.html so <sfile>:h/html.vim に対して、 au myvimrc BufNewFile,BufRead *.html so <sfile>:h/html.vim ってやると、「BufNewFile,BufRead」がハイライトされてない。。。 これをどうにかした</sfile></sfile>…

ファイルに保存する。(:sav[eas])

Vim

早くVimmerになりたい! ようやく手元に話題の「実践Vim 思考のスピードで編集しよう!」が届きました! これでVim力をレベルアップをしたいと思います。 この記事は Vim Advent Calendar 2012の278日目の記事です。 277日目は @manga_osyo さんの「Vim で非…

VAC2012とvim-anzuとvim-airline

Vim

VAC2012 VAC(Vim Advent Calender)2012 はまだまだ続いています。 凄いです。Vimmerにクリスマスはなかった。 しかし、Vim Girlがいます。 Vim Girlがいます。(かわいい) 毎日素晴らしい記事が投稿されています。 感謝!感謝! vim-anzu VAC(Vim Advent Cale…

unite-tig更新(折り畳み表示)

Vim

はじめての unite source(unite-tig) 自分のuniteソース処女作なので、Vim魂が高まった時に色々やってます。 diff表示部分に fold表示を追加しました。(defaultは展開済です) 以下の様な画面になります。 ショートカットカットキーとして t を割り当てたの…

ModelSim-Altera Starter Edition 13.0(10.1d)インストール

アルテラ、Quartus II 開発ソフトウェア v13.0 をリリース ということで、Ubuntu 12.04 LTS(32bit)に ModelSim-ASE 13.0(10.1d)をインストールしました。 ※現在だと 13.0sp1(10.1d)が最新です。 ダウンロード 今回よりダウンロードが変わったみたいですね。 …

TweetList(3.5.4) で動作が重くなったので、再インストールしたら解消された!!!

モバイル機器で Twitter見る時には、 TweetList(無料版) を使っているのですが、 ついこないだ「3.5.4」というバージョンがリリースされました。 アップデート後に起きた現象 アップデート後の動作として、 TimeLineの表示が異常に遅い(3分程度かかる) ツイ…

VCDファイルを階層ごとに修正する

SystemCで信号波形をダンプする際には、VCD形式で出力することが多いと思います。 SystemCのダンプ形式だと、「階層.信号」といった感じでフラットに出力されてしまうのでどうしても波形ビューワーで見ると、見づらいかと思います。 そこで、こんなものがあ…

unite:bookmarkを使って Vimfilerをもっと快適に使う

Vim

この記事は Vim Advent Calendar 2012の218日目の記事です。 217日目は @deris0126 さんによる 「NeoBundleの相対パスからVim pluginのURLをブラウザで開く」でした。 さて、本日は自分が使って便利だなって思ったことを書いてます。 (Vimfilerを最近真剣に…

virtual interface paramter記述

引数にinterfaceで思い出したのですが、interfaceにparameter持たせた時に 仮引数の宣言の仕方がさっぱりわからなかった記憶が。 ってなことだったので、試してみました。 interface if_hoge#(parameter BW = 8)(); logic [BW-1:0] data; endinterface : if_…

固定小数点型において、動的に整数幅を変える

SystemC Forumにて、 How to change the word length of "sc_fix" dynamically? Any examples? 高位合成(RTLへの実装)とかを考えると、どうしてもデータ幅(bit幅)を意識します。 予め bit幅が決まりきっている場合だと問題ないかもしれませんが、 bit幅を見…

$countones で bitランダム

きっかけはこちらの Verification Academyにて "hot bit" randomization このスレッドにCVCの方が回答したものが、こちらになります。 Smart constraint modeling in SystemVerilog class c; rand bit[31:0] vec_1; constraint cst_max_2_hot_bits { $counto…

SystemC-2.3インストール(clang3.3)

clang 3.3で SystemC-2.3をインストールしようとした際のメモ。 $> ../configure CC=clang CXX=clang++ : : configure: error: "sorry...compiler not supported" ってな感じでエラーが出ます。 どうやら、INSTALLには記載があるのに、clangは使えないようで…

HLSで「等価性検証は必要ないのか?」と質問された時の正解

元ネタ:TDDで「テストばかり書いて間に合うのか?」と質問されたときの正解 高位合成/動作合成のツールを使った設計において、顧客などから 「等価性検証は必要ないのか?」 などと質問されることがあると思います。 そんな時には、後ろからそっと抱きしめ…

SystemVerilog:bitスライスと初期値代入

problem about variable part select in SystemVerilog 確かに、こういう風に書きたいと思った時もありますね。 addr[8-:idx_bits] = {idx_bits{1'b1}}; ☆ここでのポイント addr[ const_or_var : const ] = { const { const_or_var } }; ビットスライス右側…

Vim: +Lua にてインストール(Ubuntu12.04)

Vimにて、if_luaを有効にしたかったので、その時のメモを。 Lua5.2のインストール $> sudo apt-get install lua5.2 これだけだと、「lua.h」が見つからないということで 有効化されません。 なので、こちらもインストールする必要があります。 @h_east さん…

SystemC:未使用 or 固定値端子を隠蔽出来る闇記述

前に SystemC:未使用 or 固定値端子の処理 を書いた際に思ったことがあります。 先ずはこちらのコードをみてください。 #include <systemc.h> //---------------------------------------------// static struct { template < typename T > operator sc_core::sc_signa</systemc.h>…

無印良品のノートカバーが Nexus7のカバーにピッタリ!

少し昔にこんなのがあったかと思います。 実際、私も MacBook Airはこちらを使用しています♪ MacBook Airを優しく守る無印良品のフェルト製封筒がシンプルで使える! 今回、パズドラ専用機となっている Nexus7 に対してカバーを探していたところ、 無印良品…

SystemC:未使用 or 固定値端子の処理

How to terminate unused ports of modules in the port binding? SystemCモデルの接続において、Verilog HDLのように 未使用端子を簡単に処理出来ません。 VHDLのように何かしらを接続する必要があります。 つまり、以下のようなコードになります。 #includ…

一様乱数生成を試す

[C++] 乱数生成のアンチパターン 極端な一様乱数ジェネレーターを作る 上記のブログ見てこんなのあるんだなーって思って書いてみた。 あとせっかくなので、clang 3.3で... #include <iostream> #include <random> int main() { std::default_random_engine engine ; std::unifo</random></iostream>…

Ubuntu 12.04LTSに clang 3.3インストール

LLVM Debian/Ubuntu nightly packages おかげで楽にインストール出来ました。 $> sudo vim /etc/apt/sources.list.d/llvm.list deb http://llvm.org/apt/precise/ llvm-toolchain-precise main deb-src http://llvm.org/apt/precise/ llvm-toolchain-precise…

Info:(I804) ... is deprecatedを非表示にする

例えば、SystemCモデルコンパイラ時に「-DDEBUG_SYSTEMC」をつけると Info: (I804) /IEEE_Std_1666/deprecated: sc_signal::addtrace() is deprecated のようなメッセージが表示されます。 このメッセージは毎回表示されるので、出力画面がいっぱいになりま…

__FILE__ マクロのパス表示について

※本内容はシミュレータによって変わる場合があるかもしれません。 きっかけはこちらの記事になります。 SystemVerilog 2009 macro `FILE ? absolute or relative path? こちらの記事のほうでは Questaシミュレータを使用した場合のようです。 私の方は Model…

突然のパチラッシュに備える - ウロボロスVim -

Vim

この記事は Vim Advent Calendar 2012 の 130日目の記事になります。 129日目は @tyruさんの balloon-evalを使ってマウス位置を取得 でした。 いつまで続くのかドキドキですね。Vimmerにクリスマスはくるのか!? では、今回の記事はこちらになります。 ウロ…

SystemVerilog:配列/bit幅に「-」値指定

bit幅に「-(マイナス)」値を使った書き方ができるとは。。。 私自身書いたことがなかったので、試しに書いてみました。 サンプルコード module testbench; int tmp[-2:5]; int data; initial begin for(int i=-2; i<5; i++) begin tmp[i] = data + 1; data++…

SystemVerilog:$finishの引数

IEEE規格では以下のように定義されています。 Table 20.1 Diagnostics for $finish Argument value Diagnostic message 0 Prints nothing 1 Prints simulation time and location 2 Prints simulation time, location, and statistics about the memory and …

電子書籍の利用

読み放題でコスパよし!電子書籍アプリyomel.jpキャンペーン とあるキャンペーン中なので、書いてみる。 電子書籍について、私はほとんど読んでいない。。。 というもの、小説ほとんど読まないから。 ただ、技術書に関しては別で技術論文(PDF)になっているも…

SystemCインストール (Visual Studio 2012)

Accellera Systems Initiative Forumsにて、スレッドがあったので紹介。 SystemC in Visual Studio 2012 Visual Studio 2012を使う人は見てみてください。 引用 1. Installed Visual C++ 2012 Express Edition 2. Download Systemc-2.3.0.tgz 3. uncompress …

SystemC 2.3 : sc_starvation_policy

SystemC 2.3から追加になっている機能になります。 sc_start()時に、sc_starvation_policyを指定できます。 4.2.1 Function declarations より引用 enum sc_starvation_policy { SC_RUN_TO_TIME, SC_EXIT_ON_STARVATION }; default値は「SC_RUN_TO_TIME」で…