2012-11-19から1日間の記事一覧

SystemVerilog パラメータ class

module と同じような感じで、classにもパラメータを渡すことができます。 C++で言うとテンプレートみたいな感じです。 サンプルコード class hoge #(int width = 1); logic [width-1:0] l_a; function void show(); $display("--- a = %3d", l_a); endfuncti…